• Мультиплексоры и демультиплексоры презентация. Мультиплексоры и демультиплексоры: схемы, принцип работы

    01.02.2024

    Мультиплексоры и демультиплексоры (mux и demux в англоязычном сокращении) представляют собой довольно распространенные компоненты в цифровой электронике. Понимание происходящих в них логических процессов позволят лучше понимать схемы с их участием и разрабатывать более сложные электронные устройства



    Мультиплексоры и демультиплексоры работают противоположно друг другу, но в соответствии с одним и тем же принципом. Они состоят из информационных входов, информационных выходов и коммутатора (селектора).


    На изображении ниже схематично представлены мультиплексор и демультиплексор.



    Мультиплексор имеет несколько информационных входов. Коммутатор мультиплексора выбирает, какой из этих входов нужно использовать и подключает его к информационному выходу, который у мультиплексора только один. Эту ситуацию можно сравнить с тем, если бы вам куча людей хотела бы сказать что-то свое, но за один раз вы можете выслушать только одного.


    Демультиплексор, наоборот, имеет только один информационный вход, и коммутатор подключает его к какому-то одному информационному выходу в каждый момент времени. То есть, это так же, как если бы вы хотели сказать что-то толпе людей, но за каждый момент времени вы можете сказать это только одному человеку из этой толпы.


    Существуют также микросхемы, которые объединяют в себе функции мультиплексоров и демультиплексоров. В англоязычном варианте они обычно обозначаются mux/demux. Также они могут называться двунаправленными мультиплексорами или же просто коммутаторами. Они позволяют сигналу передаваться в обоих направлениях. Так что не только вы можете поговорить с кем-то, но и кто-то из толпы может поговорить с вами в определенный момент времени.


    К внутреннему коммутатору в данном случае обычно подходят несколько информационных входов, которые адресуются в двоичной форме. Практически во всех таких микросхемах есть линия OE (output enable или выход активен). Также внутри микросхемы имеется демультиплексор с одним входом и, обычно, с четырьмя выходами. Для выбора выхода у микросхемы имеются также две линии для адресации выхода (00, 01, 10, 11).


    Существуют как цифровые, так и аналоговые мультиплексоры. Цифровые представляют собой логические коммутаторы, у которых на выходе будет то же напряжение, что и напряжение питания. Аналоговые же подключают к выходу напряжение выбранного входа.


    Принцип мультиплексирования и демультиплексирования использовали на заре развития телефонии в начале прошлого века. Тогда человек, который хотел позвонить своему товарищу, брал телефонную трубку и ждал ответа оператора. Это мультиплексорная часть, поскольку в определенный момент времени оператор из множества выбирает линию, на которой «сидит» этот человек. Человек сообщает, что хочет поговорить с товарищем, номер которого 12345. Это уже коммутаторная часть, здесь оператор получает номер (адрес). Далее он подключает разъем, к каналу товарища. Это демультиплексорная часть. Здесь одна линия из множества каналов соединяется только с одним.


    Мультиплексоры и демультиплексоры помогут вам решить задачу с расширением количества входных или выходных линий, если число GPIO вашего микроконтроллера слишком мало. Если у вас в проекте предусмотрено много датчиков, то вы можете подключить их к мультиплексору. Выход мультиплексора затем нужно подключить к АЦП и переключая адреса линий последовательно считывать данные с датчиков.


    Также мультиплексоры полезны, когда у вас есть несколько микросхем с интерфейсом I2C, которые имеют одинаковый адрес. Просто подключите линии SDA/SCL к коммутатору и управляйте ими последовательно. Мультиплексоры и демультиплексоры можно задействовать еще и в качестве преобразователей уровней.

    3.7. Мультиплексоры и демультиплексоры

    Мультиплексор - это устройство, которое осуществляет выборку одного из нескольких входов и подключает его к своему единственному выходу, в зависимости от состояния двоичного кода. Другими словами, мультиплексор - переключатель сигналов, управляемый двоичным кодом и имеющий несколько входов и один выход. К выходу подключается тот вход, чей номер соответствует управляющему двоичному коду.

    Ну и частное определение: мультиплексор - это устройство, преобразующее параллельный код в последовательный.

    Структуру мультиплексора можно представить различными схемами, например, вот этой:

    Рис. 1 – Пример схемы конкретного мультиплексора

    Самый большой элемент здесь это элемент И-ИЛИ на четыре входа. Квадратики с единичками - инверторы.

    Разберем выводы. Те, что слева, а именно D0-D3, называются информационными входами. На них подают информацию, которую предстоит выбрать. Входы А0-А1 называются адресными входами. Сюда и подается двоичный код, от которого зависит, какой из входов D0-D3 будет подключен к выходу, на этой схеме обозначенному как Y . Вход С – синхронизация, разрешение работы.

    На схеме еще есть входы адреса с инверсией. Это чтобы сделать устройство более универсальным.

    На рисунке показан, как еще его называют, 4Х1 мультиплексор. Как мы знаем, что число разных двоичных чисел, которые может задавать код, определяется числом разрядов кода как 2 n , где n – число разрядов. Задавать нужно 4 состояния мультиплексора, а, значит, разрядов в коде адреса должно быть 2 (2 2 = 4).

    Для пояснения принципа работы этой схемы посмотрим на её таблицу истинности:

    Так двоичный код выбирает нужный вход. Например, имеем четыре объекта, и они подают сигналы, а устройство отображения у нас одно. Берем мультиплексор. В зависимости от двоичного кода к устройству отображения подключается сигнал от нужного объекта.

    Микросхемой мультиплексор обозначается так:

    Рис. 2 – Мультиплексор как МКС

    Демультиплексор - устройство, обратное мультиплексору. Т. е., у демультиплексора один вход и много выходов. Двоичный код определяет, какой выход будет подключен ко входу.

    Другими словами, демультиплексор - это устройство, которое осуществляет выборку одного из нескольких своих выходов и подключает его к своему входу или, ещё, это переключатель сигналов, управляемый двоичным кодом и имеющий один вход и несколько выходов.

    Ко входу подключается тот выход, чей номер соответствует состоянию двоичного кода. И частное определение: демультиплексор - это устройство, которое преобразует последовательный код в параллельный.

    Обычно в качестве демультиплексора используют дешифраторы двоичного кода в позиционный, в которых вводят дополнительный вход стробирования.

    Из-за сходства схем мультиплексора и демультиплексора в КМОП сериях есть микросхемы, которые одновременно являются мультиплексором и демультиплексором, смотря с какой стороны подавать сигналы.

    Например, К561КП1, работающая как переключатель 8х1 и переключатель 1х8 (то есть, как мультиплексор и демультиплексор с восемью входами или выходами). Кроме того, в КМОП микросхемах помимо переключения цифровых сигналов (логических 0 или 1) существует возможность переключения аналоговых.

    Другими словами, это переключатель аналоговых сигналов, управляемый цифровым кодом. Такие микросхемы называются коммутаторами. К примеру, с помощью коммутатора можно переключать сигналы, поступающие на вход усилителя (селектор входов). Рассмотрим схему селектора входов УМЗЧ . Построим её с использованием триггеров и мультиплексора.

    Рис. 3 - Селектор входных сигналов

    Итак, разберем работу. На триггерах микросхемы DD1 собран кольцевой счетчик нажатий кнопки разрядностью 2 (два триггера - 2 разряда). Двухразрядный двоичный код поступает на адресные входы D0-D1 микросхемы DD2. Микросхема DD2 представляет собой сдвоенный четырехканальный коммутатор.

    В соответствии с двоичным кодом к выходам микросхемы А и В подключаются входы А0-А3 и В0-В3 соответственно. Элементы R1, R2, C1 устраняют дребезг контактов кнопки.

    Дифференцирующая цепь R3C2 устанавливает триггеры в нулевое состояние при включении питания, при этом к выходу подключается первый вход. При нажатии на кнопку триггер DD1.1 переключается в состояние лог. 1 и к выходу подключается второй вход и т. д. Перебор входов идет по кольцу, начиная с первого.

    С одной стороны просто, с другой немного неудобно. Кто его знает, сколько раз нажали на кнопку после включения и какой вход подключен к выходу сейчас. Хорошо бы поставить индикатор подключенного входа.

    Вспоминаем семисегментный дешифратор. Переносим дешифратор с индикатором на схему коммутатора и первые два входа дешифратора (на схеме обозначен как DD3), т. е. 1 и 2 (выводы 7 и 1) подключаем к прямым выходам триггеров DD1.1 DD1.2 (выводы 1 и 13). Входы дешифратора 4 и 8 (выводы 2 и 6) соединяем с корпусом (т. е. подаем лог. 0). Индикатор будет показывать состояние кольцевого счетчика, а именно цифры от 0 до 3. Цифра 0 соответствует первому входу, 1 - 2-му и т. д.

    Мультиплексор – устройство, обеспечивающее соединение одного из информационных входов с единственным выходом. Входы мультиплексора делятся на две группы: информационные и адресую­щие. Номер информационного входа, который соединяется с выходом, задается в двоичном коде на адресных входах. Если мультиплексор имеет n адресных входов, то в нем может быть 2 n информационных входов.

    Демультиплексор – устройство, обеспечивающее соединение одного из информационных выходов с единственным информационным входом. Номер информационного выхода, который соединяется со входом, задается в двоичном коде на адресных входах. Если демультиплексор имеет n адресных входов, то в нем может быть 2 n информационных выходов.

    Функциональная схема демультиплексора, имеющего четыре выхода, приведена на рисунке 1.35,а, а его условное обозначение на принципиальных схемах – на рисунке 1.35,б.

    Функциональная схема мультиплексора, имеющего четыре входа, приведена на рисунке 1.35,в, а его условное обозначение на принципиальных схемах – на рисунке 1.35,г. Мультиплексоры могут снабжаться дополнительным входом – входом разрешения передачи информации с входов на выход.

    Для пояснения принципа работы мультиплексора посмотрим на таблицу истинности:

    A1 A0 Q
    D0
    D1
    D2
    D3

    Работа мультиплексора описывается соотношением, которое иногда называется мультиплексной формулой. При любом значении адресующего кода все слагаемые, кроме одного, равны нулю. Ненулевое слагаемое равно D i , где i - значение текущего адресного кода. Логическая функция, описывающая работу мультиплексора:

    Мультиплексоры могут применяться в делителях частоты, триггерных устройствах, сдвигающих устройствах и др. Мультиплексоры часто используют для преобразования параллельного двоичного кода в последовательный. Для такого преобразования достаточно подать на информационные входы мультиплексора параллельный двоичный код, а сигналы на адресные входы подавать в такой последовательности, чтобы к выходу поочередно подключались входы, начиная с первого и заканчивая последним.

    Функции демультиплексоров сходны с функциями дешифраторов. Дешифратор можно рассматривать как демультиплексор, у которого информационный вход поддерживает напряжение выходов в активном состоянии, а адресные входы выполняют роль входов дешифратора. Поэтому в обозначении как дешифраторов, так и демультиплексоров в отечественных микросхемах используются одинаковые буквы - ИД.

    Увеличение разрядности мультиплексоров при большом числе входных линий выполняют с помощью каскадно-пирамидального соединения мультиплексоров с меньшим числом входов (строят мультиплексорное дерево). Например, двухкаскадный мультиплексор 16:1 можно построить с использованием пяти мультиплексоров 4:1. Первый каскад из четырех мультиплексоров коммутирует 16 входов на 4 выхода, из которых во втором каскаде выбирается единственный. При этом усложняется схема управления.

    Эти устройства являются комбинационными .


    Шифраторы и дешифраторы

    Эти устройства являются комбинационными .

    Устройства, преобразующие одну разновидность кода в другую, называются преобразователями кодов . Например, существуют устройства, преобразующие прямой двоичный код в обратный и дополнительный коды. К преобразователям также относятся шифраторы и дешифраторы, осуществляющие кодирование и декодирование сигналов.

    Двоичные дешифраторы преобразуют двоичный код в код «1 из N». В кодовой комбинации этого кода только одна позиция занята единицей, а все остальные – нулевые.

    Двоичный дешифратор, имеющий n входов, должен иметь 2 n выходов, соответствующих числу разных комбинаций в n-разрядном двоичном коде. Если часть входных наборов не используется, то дешифратор называют неполным, и у него число выходов меньше 2 n .

    В условном обозначении дешифраторов проставляются буквы DC (от английского Decoder). Входы дешифратора принято обозначать их двоичными весами. Кроме информационных входов дешифратор обычно имеет один или более входов разрешения работы обозначаемых как EN (Enable). При наличии разрешения по этому входу дешифратор работает описанным выше образом, при его отсутствии все выходы дешифратора пассивны. Если входов разрешения несколько, то сигнал разрешения работы образуется как конъюнкция сигналов отдельных входов.

    Дешифратор (декодер) – преобразует код, поступающий на его входы, в сигнал только на одном из его выходов. Дешифратор n-разряд-ного двоичного числа имеет 2 n выходов. Функциональная схема дешифратора на 16 выходов приведена на рисунке 1.34,а. По такой функциональной схеме построена микросхема К155ИД3. Условное обозначение этой микросхемы на принципиальных схемах приведено на рисунке 1.34,б. Для преобразования сигнала необходимо на входы V1 и V2 микросхемы подать сигналы логических нулей.

    Пусть на входе дешифратора присутствует двоичное число 1111. В этом случае на всех пяти входах элемента DD1.15 будут сигналы логических единиц, а на выходе этого элемента будет логический нуль. На выходах всех остальных 15 элементов будут сигналы логических единиц. Если хотя бы на одном из входов V логическая единица, то единицы будут на всех 16 выходах.

    Система логических функций, показывающая работу дешифратора:

    где Z n – выходы дешифратора

    Х і – входы дешифратора

    Шифратор (кодер) – устройство, представляющее собой преобразователь позиционного кода в двоичный (десятичного в двоичный).

    Шифратор (кодер) преобразует сигнал на одном из входов в n-разрядное двоичное число. Функциональная схема шифратора, преобразующего десятичные цифры в 4-разрядное двоичное число, приведена на рисунке 1.33,а, а его условное обозначение – на рисунке 1.33,б. При появлении сигнала логической единицы на одном из десяти входов на четырех выходах шифратора будет присутствовать соответствующее двоичное число. Пусть сигнал логической единицы подан на вход 7. Тогда на выходах логических элементов DD1.1, DD1.2, DD1.3 будут сигналы логических единиц, а на выходе элемента DD1.4 – сигнал логического нуля. Таким образом, на выходах 8, 4, 2, 1 шифратора мы получим двоичное число 0111.


    Рисунок 8.1 Упрощенное представление мультиплексора многопозиционным ключом Адресующий код А задает переключателю определенное положение, соединяя с выходом F один из информационных входов х i. При нулевом адресующем коде переключатель занимает верхнее положение х о, с увеличением кода на единицу переходит в соседнее положение x 1 и т. д. A х0х0 х1х1 х2х2 x [n] a1a1 a0a0 a n-1 F 2 n -1




    Универсальные логические модули на основе мультиплексоров Универсальные логические модули (УЛМ) на основе мультиплексоров относятся к устройствам, настраиваемым на решение той или иной задачи. Универсальность их состоит в том, что для заданного числа аргументов можно настроить УЛМ на любую функцию.


    Первый способ Настройки УЛМ Фиксация некоторых входов. Для этого способа справедливо следующее соотношение между числом аргументов и числом настроечных входов. Пусть число аргументов n и требуется настройка на любую из функций. Тогда число комбинаций для кода настройки, равное числу функций, есть 2n. Для двоичного кода число комбинаций связано с разрядностью кода выражением 2m, где m разрядность кода. Приравнивая число воспроизводимых функций к числу комбинаций кода настройки, имеем для числа настроечных входов соотношение m = 2n.


    Рисунок 8.2 Схема использования мультиплексора в качестве УЛМ (а), примеры воспроизведения функций при настройке константами (б) и при переносе одного аргумента в число сигналов настройки (в) (а) (б) (в) Рисунок 8.2 а - иллюстрирует возможность воспроизведения с помощью мультиплексора любой функции n аргументов. Действительно, каждому набору аргументов соответствует передача на выход одного из сигналов настройки. Если этот сигнал есть значение функции на данном наборе аргументов, то задача решена. Разным функциям будут соответствовать разные коды настройки. Алфавитом настройки будет {0,1} настройка осуществляется константами 0 и 1. На рисунке 8.2 б показан пример воспроизведения функции неравнозначности x 1 х 2 с помощью мультиплексора "41".


    Второй способ настройки УЛМ Большее число входов настройки наталкивает на поиск возможностей их уменьшения. Такие возможности существуют и заключаются в расширении алфавита настроечных сигналов. Рисунок 8.3 Логический блок выработки сигналов настройки УЛМ с переносом двух аргументов в сигналы настройки (а) и пример схемы воспроизведения функции четырех аргументов на мультиплексоре "41" (б) (а) (б)






    Демультиплексор можно построить на основе точно таких же схем логического "И", как и при построении мультиплексора. Существенным отличием от мультиплексора является возможность объединения нескольких входов в один без дополнительных схем. Однако для увеличения нагрузочной способности микросхемы, на входе демультиплексора для усиления входного сигнала лучше поставить инвертор.






    Если рассмотреть принципиальную схему самого дешифратора, то можно значительно упростить демультиплексор. Достаточно просто к каждому логическому элементу "И", входящему в состав дешифратора просто добавить ещё один вход – In. Такую схему часто называют дешифратором с входом разрешения работы. Условно-графическое изображение демультиплексора приведено на рисунке 6.




    В МОП микросхемах не существует отдельных микросхем демультиплексоров, так как МОП мультиплексоры, описанные ранее по информационным сигналам не различают вход и выход, т.е. направление распространения информационных сигналов, точно также как и в механических ключах, может быть произвольным. Если поменять входы и выход местами, то КМОП мультиплексоры будут работать в качестве демультиплексоров. Поэтому их часто называют просто коммутаторами.




    (устройства сравнения) определяют отношения между двумя словами. Основными отношениями, через которые можно выразить остальные, можно считать два "равно" и "больше". Компараторы (устройства сравнения) определяют отношения между двумя словами. Основными отношениями, через которые можно выразить остальные, можно считать два "равно" и "больше".







    Мультиплексор (MUX – multiplex- многократный) позволяет коммутировать в численном порядке информацию, поступающую с нескольких входных шин на одну выходную. С его помощью осуществляется временное разделение информации, поступающей по разным каналам.

    Схема мультиплексора на 2 входа приведена на рис. 2.9.

    Рис. 2.9 Схема двухвходового мультиплексора

    – информационные входы

    А – адресный вход

    В зависимости от значения 1 или 0, подаваемых на адресный вход, на выходе Y формируется сигнал или . Это логическая структура мультиплексора вида 2:1. Читается: две линии к одной.

    Логическая структура мультиплексора вида 4:1, составляющая ½ микросхемы К155КП2 приведена на рис. 2.10.

    Рис. 2.10 Структурная схема мультиплексора вида 4:1

    D 1 – D 4 – информационные входы

    А, В – адресные входы

    А – младший разряд

    В – старший разряд

    ЕI – разрешающий вход

    Если EI=1, то на схемы И поступает 0 и мультиплексор не работает, то есть работа возможна только при EI=0.

    В табл. 2.2 приведены все возможные сочетания входных воздействий и отклики мультиплексора.

    Таблица 2.2

    Мультиплексор вида 4:1

    Входы Выход Y
    Е А В
    D1
    D2
    D3
    D4

    Мультиплексор К155КП1 имеет 16 информационных входов (D0 – D15) и четыре управляющих входа A, B, C, D, разрешающий вход V и один инверсный выход F. В зависимости от цифровой комбинации на управляющих входах сигналы с соответствующего информационного входа проходят в инвертированном виде на выход микросхемы. Передача информации возможна только при низком уровне на разрешающем входе.

    Если требуется структура с большим количеством входов, то можно воспользоваться схемой наращивания разрядности, приведенной на рис. 2.11.

    Рис. 2.1 Мультиплексор вида 32:1 на основе двух микросхем К155КП1

    Адресными входами низших разрядов служат соединенные параллельно входы A, B, C и D. Разрешающие входы V в данном случае используются для подачи высшего (пятого) разряда Е: на первую микросхему в прямом виде, на вторую в инверсном. Первая микросхема работает при нулевом сигнале высшего разряда (Е=0); а вторая – при единичном (Е=1). Благодаря логическому элементу И-НЕ на выходе, выходные сигналы будут одинаковы с входными.

    Мультиплексоры с Z-состоянием выходов легко позволяют увеличивать число коммутируемых каналов без привлечения дополнительных логических элементов для объединения выходов нескольких микросхем.

    На рис. 2.12 приведена схема наращивания разрядности мультиплексора с использованием микросхем, имеющих Z-состояние выхода.

    Рис. 2.12 Схема наращивания разрядности мультиплексоров, имеющих Z-состояние

    Демультиплексоры

    Демультиплексоры противоположны в функциональном отношении мультиплексорам, то есть их назначение распределить сигналы с одного информационного входа в желаемой последовательности по нескольким выходам.

    Схема демультиплексора на 2 выхода представлена на рис. 2.13.

    Рис. 2.13 Демультиплексор вида 1:2

    Информационный вход

    А – адресный вход

    В зависимости от значения А информация поступает на или

    Логические функции демультиплексора и дешифратора сходны между собой.

    Дешифратор можно рассматривать как обращенный по входам демультиплексор, у которого адресные входы стали информационными, а бывший информационный вход стал управляющим.

    Рассмотрим структуру демультиплексора-дешифратора, представленного на рис. 2.14.

    Работу устройства описывают следующие булевые уравнения:

    Рис. 2.14 Логическая структура демультиплексора 1:4 – дешифратора 2:4

    A, B – адресные входы

    Х – информационный вход

    V – вход управления

    В табл. 2.3 приведены режимы работы этой схемы в качестве демультиплексора и в качестве дешифратора.

    Таблица 2.3

    Таблица истинности демультиплексора-дешифратора

    Входы Выходы
    В А X V
    DMX
    DC

    Типичным представителем демультиплексора - дешифратора является интегральная микросхема К155ИД3 (аналог 74154).

    A, B, C, D – информационные входы

    G1, G2 – разрешающие входы

    Режим демультиплексора 1:16

    G1 = 0, тогда G2 – информационный. Кодовая комбинация A-B-C-D переводит один из 16 выходов в активное состояние, которому соответствует логический 0, остальные выходы сохраняют логическую 1.

    Существенно, что сигналы на активном выходе повторяют сигналы в прямом виде, поступающие на информационный вход.

    Режим дешифратора 4:16

    G1 = G2 = 0, тогда A-B-C-Dинформационные входы.

    Если G1 или G2 равен 1, то на всех выходах, независимо от состояний входов A-B-C-D установится логическая 1.

    Мультиплексоры и демультиплексоры (ДМХ) КМОП являются коммутаторами сигналов в прямом смысле, то есть могут передавать аналоговые сигналы.

    MUX как универсальный логический элемент

    Использование мультиплексора в качестве универсального логического элемента основано на общем свойстве логических функций независимо от числа аргументов всегда равняться логической единице или нулю. Если на адресные входы мультиплексора подавать входные переменные, зная, какой выходной уровень должен отвечать каждому сочетанию этих сигналов, то предварительно установив на информационных входах потенциалы нуля и единицы согласно программе, получим устройство, реализующее требуемую функцию.

    Примеры применения мультиплексора

    1. Преобразование параллельного кода в последовательный.

    Одним из способов перехода от параллельного кода к последовательному может служить схема, приведенная на рис. 2.15.

    Рис. 2.15 Схема преобразования параллельного кода Х 0 - Х 15 в последовательный

    Генератор вырабатывает импульсы, которые поступая на счетчик СТ заставляют его триггеры последовательно менять свое состояние от 0000 до 1111. Параллельный шестнадцатиразрядный код, подлежащий преобразованию в последовательный, подается на входы Х 0 – Х 15 . Каждый из входов Х 0 – Х 15 соединяется с выходом MUX согласно списку состояний счетчика. Перебрав весь список, мы выведем последовательно на выход F все разряды параллельного кода.

    2. Программируя информационные входы MUX согласно таблице истинности можно получить устройства, реализующие любую логическую функцию, содержащую до n+1 переменных, где n – число адресных входов мультиплексора.

    Пример № 1 : Реализовать на MUX функцию, заданную таблицей истинности:

    Видим, что в пределах одной большой строки аргумент «а» не меняется, а аргумент «b» колеблется 0-1. Оценим взаимосвязь поведения аргумента «b» и отклика функции Y. Очевидно, что в верхней строке Y повторяет значения b, а в нижней - противоположен. Следовательно, от мультиплексора требуется выполнение всего двух функций: b и b̅, а это в два раза уменьшает мощность применяемого МХ. Схема реализации той же задачи примет вид:

    Каждый из рассмотренных способов решения имеет свои достоинства и недостатки. Так при решении задачи первым способом нам не потребуются дополнительные логические элементы – инверторы, а при втором способе потребуется один инвертор. Зато, как уже отмечалось, при втором способе решения требуется мультиплексор меньшей мощности.

    Пример № 2: Функция трех переменных задана таблицей истинности:

    Y Примечание
    F 1 = 1
    F 2 =
    F 3 = 0
    F 4 =

    Расчленим мысленно таблицу истинности на группы по 2 строки в каждой (в каждой группе неизменны; аргумент может иметь 2 состояния; F принимает одно из четырех значений:

    F 1 = 1, F 2 = , F 3 = 0, F 4 =

    Если переменные сигналы подключить к адресным входам MUX А и В, а на информационные входы подать согласно таблице постоянные потенциалы логической единицы и нуля и переменные сигналы , то получим искомую схему.

    Пример № 3: Таблицей истинности задана функция трех переменных (мажоритарный элемент)

    a b с Y Примечание
    F 1 = 0
    F 2 = c
    F 3 = c
    F 4 = 1

    Решение: расчленим мысленно таблицу истинности на группы по 2 строки в каждой (в каждой группе a и b неизменны; аргумент «c» может иметь 2 состояния; F принимает одно из трех значений:

    F 1 = 0, F 2 = с, F 3 = с, F 4 = 1

    Реализация на MUX 4:1 с разрешающим входом

    Пример № 4 : Разработать схему компаратора двухразрядных чисел А и В. А = ; В=

    F Примечание
    F 1 =
    F 2 = 0
    F 3 =
    F 4 = 0
    F 5 = 0
    F 6 =
    F 7 = 0
    F 8 =

    Пример № 5: Сумматор на MUX . Составим таблицу истинности для сумм двух одноразрядных чисел А и В и функции переноса Р i . Разобьем на две строки, так, что А и В не меняют свое значение, а . Найдем и для каждой пары строк таблицы.

    Входы Выходы
    А В

    Реализация: Воспользуемся MUX К155КП2 или 564КП1 имеющими 2 четырехвходовых MUX в одном корпусе.

    Сумматоры

    Это устройства, предназначенные для сложения чисел в двоичном и реже в 2-10 коде.

    Классификация сумматоров:

    1) По характеру действия: комбинационные (не имеющие памяти);

    накопительные (сохраняющие результаты вычислений).

    2) По способу обработки чисел: последовательного и параллельного типа.

    3) По способу формирования сигнала переноса: с последовательным, сквозным и групповым переносом.

    Полусумматор

    S = - функция суммы

    P = - функция переноса

    S – бит суммы; Р – бит переноса;

    HS – half sum – полусумматор.

    Обозначение на схемах

    Таблица истинности полусумматора.

    Входы Выходы
    А В Р S

    Развернутая схема полусумматора приведена на рис. 2.16.

    Рис. 2.16 Полусумматор

    Полусумматор пригоден для сложения двух чисел только в младшем разряде. Как видно из схемы сложения двух многоразрядных чисел для n-го разряда необходим бит переноса . Поэтому полный сумматор должен иметь 3 входа.

    Полный сумматор

    Таблица истинности сумматора

    Входы Выходы
    А В

    Схема полного сумматора на элементах М2 приведена на рис. 2.17.

    Рис. 2.17 Полный сумматор на элементах М2

    Сумматор можно выполнить и на простых логических элементах (рис. 2.18).

    Рис. 2.18 Полный сумматор на элементарных логических элементах.

    Условное обозначение одноразрядного сумматора

    Сумматоры последовательного действия

    Используется один общий для всех разрядов полный сумматор с дополнительной цепью задержки (рис. 2.19).

    Рис. 2.19 Структура последовательного многоразрядного сумматора

    Оба слагаемых кодируются последовательностями импульсов, которые синхронно вводятся в сумматор через входы А и В, начиная с младших разрядов.

    Цепь временной задержки (л.з.) обеспечивает хранение импульса переноса на время одного такта, то есть до прихода пары слагаемых следующего разряда, с которыми он будет просуммирован.

    Достоинство: малые аппаратные затраты.

    Недостатки: 1) низкое быстродействие, так как одновременно суммируется лишь пара слагаемых;

    2) для хранения А и В и преобразования последовательного кода выходных импульсов S в параллельный необходимы дополнительные аппаратные затраты.

    Сумматоры параллельного типа

    Схема сумматора параллельного типа с последовательным переносом приведена на рис. 2.20.

    Рис. 2.20 Параллельный сумматор с трактом последовательного переноса

    Число сумматоров равно числу разрядов слагаемых, поэтому слагаемые А и В складываются во всех разрядах одновременно, а перенос Р поступает с окончанием операции сложения в предыдущем разряде.

    Недостатки: Ограниченное быстродействие, так как формирование сигнала переноса на выходе старшего разряда не может произойти до тех пор, пока сигнал переноса младшего разряда не распространится последовательно по всей схеме.

    Уменьшение времени распространения сигнала переноса достигается тем, что для каждого двоичного разряда дополнительно находятся два сигнала: образования переноса G i и распространения переноса H i .

    Похожие статьи